Economics homework help

Economics homework help. how can i write code to vhdl i have lab 7-segment led display decoder that takes 4- bits binary input and display the equivalent hex number on the 7-segment display alphanumric digits 0-f which correspond to number 0-15 in hex ,are to be displayed z 7 bit a 4 bit Code is below _________________library IEEE;use IEEE.std_logic_1164.all ;entity seven_seg isport ( a: in std_logic_vector( 3 downto 0);z : out std_logic_vector( 6 downto 0) );…

Economics homework help